vscode配置verilog运行环境


vscode要想运行Verilog需要编译文件以及识别产生的.vcd文件生成波形图.在经过一中午的摸索之后,这是我的成功方案(本人是windows系统).

安装iverilog

地址:http://bleyer.org/icarus/

进入网站后直接选择第一个就行

安装完成后在环境变量PATH中添加iverilog.exe和gtkwave.exe的路径.

iverilog路径:\iverilog\bin
gtkwave路径:F:\iverilog\gtkwave\bin

最后可以在cmd中输入:

where verilog
where vvp 
where gtkwave

或者 iverilog -hgtkwave --version 来检测是否成功
结果如图

图 1

下载并配置vscode拓展

下载

图 2

如图,下载下面两个个拓展加上code runner.即可

配置code runner

在code runner的setting.json中输入以下代码

"code-runner.executorMapByGlob": {

    "pom.xml": "cd $dir && mvn clean package",
    "*.test.js": "tap",
    "*.js": "node",
    "*.v": "cd $dir && iverilog -o run.vvp \"$fileName\" && vvp run.vvp",
    "*.vcd": "cd $dir && gtkwave \"$fileName\"",
},
"code-runner.saveFileBeforeRun": true,
"code-runner.runInTerminal": true,
"code-runner.saveAllFilesBeforeRun": true,
coderunner的setting.json的位置

图 3

配置verilog

在设置中搜索verilog,在linter中选择iverilog,如图

图 4

使用方法

在vscode中新建.v文件,在完成代码编辑之后一定要记得添加如下代码:

initial
    begin            
        $dumpfile("wave.vcd");        //生成的vcd文件名称
        $dumpvars(0, modulename);    //模块名称
    end

之后右键文件run code或者右上角三角符号run code.编译成功之后会出现vvp和vcd文件,对vcd文件右键run code,就会出现波形图的窗口
波形图窗口如图

图 5

##参考网页

https://blog.csdn.net/marvellousbinary/article/details/79842347

https://zhuanlan.zhihu.com/p/367612172


Author: Dovahkiin
Reprint policy: All articles in this blog are used except for special statements CC BY 4.0 reprint policy. If reproduced, please indicate source Dovahkiin !
  TOC